コンピュータシステムの理論と実装 1..2章

前回記事から「コンピュータシステムの理論と実装」を読み始めて、現在2章のブール算術まで読み終わった&専用HDLで実装が終わりました。 第1章はNAND回路からAND、OR、NOT、XORの基本ゲートと、マルチプレクサ、多入力の基本ゲートなどを実装します。ここまでは簡単な回路なので、効率はさておき特に問題なく実装できまし…